找回密码
 立即注册

用Verilog编写的七人表决器代码,七人表决超过四人就通过的功能

[复制链接]
发表于 2024-2-9 04:30:37 | 显示全部楼层 |阅读模式
获取积分
文件列表:
├文件夹1:[work1]
│  ├(1)voter_7.cr.mti
│  ├(2)voter_7.mpf
│  ├(3)voter_7.vo
│  ├(4)voter_7_tb.v
│  ├(5)voter_7_tb.v.bak
│  ├(6)voter_7_v.sdo
│  ├(7)voter_7_v.sdo_typ.csd
│  ├(8)vsim.wlf
│  ├文件夹1:[work]
│  │  ├文件夹1:[voter_7]
│  │  │  ├(1)verilog.asm64
│  │  │  ├(2)verilog.rw64
│  │  │  ├(3)_primary.dat
│  │  │  ├(4)_primary.dbs
│  │  │  ├(5)_primary.vhd
│  │  │  └█
│  │  ├文件夹2:[voter_7_tb]
│  │  │  ├(1)verilog.asm64
│  │  │  ├(2)verilog.rw64
│  │  │  ├(3)_primary.dat
│  │  │  ├(4)_primary.dbs
│  │  │  ├(5)_primary.vhd
│  │  │  └█
│  │  ├(1)_info
│  │  ├文件夹3:[_temp]
│  │  │  └█
│  │  ├(2)_vmake
│  │  └█
│  └█
└█

用Verilog编写的七人表决器代码,七人表决超过四人就通过的功能.zip (46.47 KB, 下载次数: 0, 售价: 10 积分)


回复

使用道具 举报

小黑屋|获取积分|网站地图|必过源码 ( 湘ICP备2020019413号-2 )

GMT+8, 2024-5-19 01:44 , Processed in 0.056343 second(s), 23 queries .

Powered by Biguo100

2006-2023 Biguo100 Team

快速回复 返回顶部 返回列表